آموزش رایگان مدارهای منطقی

poster
پیش‌نمایش دوره

این درس یکی از مهم‌ترین دروس مهندسی کامپیوتر و گرایش دیجیتال مهندسی برق است. هدف از آموزش رایگان درس مدارهای منطقی آشنایی با اصول و تحلیل و طراحی مدارهای منطقی دیجیتال‌، طراحی سیستمی توسط مدارهای ... ادامه

برگزارکننده:  دانشگاه گیلان  دانشگاه گیلان
مدرس دوره:
مهدی امینیان
مهدی امینیان
4.8 (89 رای)
سطح: مقدماتی
 رایگان
  
زمان مورد نیاز برای گذراندن دوره:  25 جلسه
مجموع محتوای آموزشی:  22 ساعت ویدئو
 (قابل دانلود می‌باشد)

سرفصل‌های دوره آموزش رایگان مدارهای منطقی

فصل اول: مقدمات و مفاهیم اولیه
فصل سوم: جبر بول
  جلسه 5: اصول جبر بول و معرفی گیت‌های پایه منطقی
"41:27  
  جلسه 6: روابط جبر بول و ساده‌سازی مدارهای ترکیبی با جبر بول
"60:49  
  جلسه 7: فرم‌های کانونی، مینترم و ماکسترم
"83:50  
  جلسه 8: فرم‌های استاندارد و حالات بی‌اهمیت، معرفی گیت‌های تکمیلی منطقی
"38:06  
فصل چهارم: تحلیل و طراحی سیستم‌های منطقی ترکیبی
  جلسه 9: ساده‌سازی مدارهای ترکیبی به کمک جدول کارنو
"62:59  
  جلسه 10: مدارهای ساده و ساده‌سازی به کمک جدول کارنو، موجب اصلی (PI) و اساسی (EPI)
"63:48  
  جلسه 11: ساده‌سازی مدارهای ترکیبی به کمک روش کویین مک‌کلاسکی
"23:33  
  جلسه 12: معرفی و پیاده‌سازی با گیت‌های عام NAND و NOR
"35:13  
  جلسه 13: تاخیر، هزارد و گیت‌های سه‌حالته
"51:05  
  جلسه 14: دیکودر و انکودر
"62:24  
  جلسه 15: مالتی‌پلکسر و دی‌مالتی‌پلکسر
"40:18  
  جلسه 16: مدارهای محاسباتی ترکیبی (مقایسه‌کننده و تولید‌کننده بیت توازن)
"42:25  
  جلسه 17: مدارهای محاسباتی ترکیبی (جمع‌کننده‌های دودویی)
"56:27  
فصل پنجم: تحلیل و طراحی سیستم‌های منطقی ترتیبی
  جلسه 18: مدارهای ترتیبی، حافظه و لچ
"93:15  
  جلسه 19: فلیپ‌فلاپ‌ها، تأخیر عناصر حافظه، ورودی‌های سنکرون و آسنکرون حافظه‌ها
"68:53  
  جلسه 20: تحلیل مدارهای ترتیبی (ماشین‌های میلی و مور، جدول حالت، ماشین یا گراف حالت)
"43:51  
  جلسه 21: مثال‌های تحلیل مدارهای ترتیبی
"42:31  
  جلسه 22: طراحی و پیاده‌سازی مدارهای ترتیبی (طراحی FSM، تخصیص کد، پیاده‌سازی با D-FlipFlop)
"72:19  
  جلسه 23: پیاده‌سازی مدارهای ترتیبی با انواع فلیپ‌فلاپ و مثال‌های بیشتر از طراحی FSM
"25:59  
  جلسه 24: رجیستر، شیفت‌رجیستر و شمارنده‌ها
"74:51  
  جلسه 25: کاهش حالات گراف حالت
"20:15  

درباره دوره

این درس یکی از مهم‌ترین دروس مهندسی کامپیوتر و گرایش دیجیتال مهندسی برق است. هدف از آموزش رایگان درس مدارهای منطقی آشنایی با اصول و تحلیل و طراحی مدارهای منطقی دیجیتال‌، طراحی سیستمی توسط مدارهای مجتمع قابل برنامه‌ریزی (PLD) و زبان توصیف سخت‌افزار است. 

درس مدارهای منطقی تلاش می‌­کند صرفاً روی تئوری تمرکز نکند و در حین درس و مخصوصاً در تمرینات شبیه­‌سازی و پروژه کاربرد عملی این مفاهیم را نشان دهد. این درس پایه و پیش­‌نیاز درس معماری کامپیوتر و به‌صورت غیر‌مستقیم پایه اکثر دروس سخت‌­افزاری و سیستم عامل محسوب می­‌شود و به دانشجویان قابلیت درک منطق استفاده شده در کامپیوترهای امروزی را می­‌دهد. از طرف دیگر، هم‌نیاز این درس ریاضیات گسسته محسوب می­‌شود، ولی اکثر مطالب مورد نیاز در همین درس مجدداً توضیح داده شده­‌اند.

مباحث درس را می­‌توان به چهار بخش کلی (غیر از مقدمه) تقسیم کرد. در بخش اول بعد از مقدمه، مفاهیم پایه مربوط به سیستم اعداد و اعداد دودویی (مبنای دو) که بنیان کامپیوترها یا به‌طور کلی سیستم‌­های دیجیتال هستند بیان می­‌شود، در این بخش، علاوه‌بر آشنایی با اعداد دودویی و تبدیل آن‌ها به ده­‌دهی (مبنای ده) یا بالعکس، نحوه انجام اعمال اصلی ریاضی نیز روی آن‌ها بررسی می­‌شود. در بخش بعدی اصول جبر بول برای فرمول‌­بندی و ایجاد پایه ریاضی برای منطق دودویی معرفی می­‌شود. در این بخش، گیت­‌های پایه منطقی معرفی می‌­شوند، نحوه ساده‌­سازی توابع منطقی براساس جبر بول بررسی می­‌شود، همچنین روش­‌های متفاوت نمایش توابع همچون جدول درستی، مدار، فرم­‌های کانونی و نمایش به‌صورت مینترم و ماکسترم توضیح داده می­‌شوند.

درباره استاد

maktabkhooneh-teacher مهدی امینیان

دکتر مهدی امینیان، تحصیل آکادمیک خود را در سال ۸۱ در رشته مهندسی کامپیوتر از دانشگاه امیرکبیر آغاز کرد و در سال ۸۵ با گرایش سخت­‌افزار به پایان رساند. در سال ۸۵ در همان دانشگاه به‌عنوان استعداد درخشان برای کارشناسی‌ارشد مهندسی کامپیوتر با گرایش معماری کامپیوتر پذیرفته شد و تمرکز خود را روی مباحث دیجیتال همانند الکترونیک دیجیتال، VLSI، طراحی مدارهای دیجیتال و سپس روی مدارهای کوانتومی قرار داد و در سال ۸۷ مدرک کارشناسی ارشد خود را با پایان­نامه ای در زمینه همانندسازی مدارهای کوانتومی اخذ کرد.

سپس، در اواسط سال ۸۸ برای ادامه تحصیل در مقطع دکترا به دانشگاه پلی­تکنیک فدرال لوزان سوییس (EPFL) رفت و در دانشکده برق و گروه معماری پیشرفته کوانتومی پذیرفته شد. در انتهای سال ۲۰۱۴ (آذر ۱۳۹۳) توانست تز دکترای خود را در زمینه‌ طراحی و ساخت تشخیص‌­دهنده‌­های نوری حساس به امواج مادون قرمز براساس مواد سیلیکونی و ژرمانیومی برای کار در دماهای فوق پایین به پایان برساند و مدرک دکترای خود را از یکی از بهترین دانشگاه­‌های اروپا و جهان اخذ کند.

دکتر مهدی امینیان از سال ۹۴ به‌عنوان عضو هیئت علمی مهندسی کامپیوتر دانشگاه گیلان مشغول به فعالیت است. 

نظرات کاربران

تا کنون نظری برای این دوره ثبت نشده است. برای ثبت نظر باید ابتدا در دوره ثبت نام کرده و دانشجوی دوره باشید.
1403-01-29
khobe faghat jozve ro benevisid az ro ostad
1403-01-14
این دوره فوق العادس
1403-01-03
تدریس بسیار بسیار عالی ،استاد هیچ جای ابهامی باقی نمیزارن
مریم کرمی 1402-10-29
واقعا دوره‌ی فوق‌العاده‌ای هستش و خیلی در یادگیری مدار منطقی کمک میکنه از استاد امینیان بسیار متشکرم
ایدا محمدی 1402-10-23
عالی
محمد مهدی حائری 1402-10-19
بسیار دوره خوبی بود. ممنون از استاد عزیز.
1402-10-14
بدون دیدن این دوره پاس کردن درس به شدت زجر آور میشد
محمد حسین عابدی 1402-09-06
دوره جامعی بود برای درس مدار منطقی ، خیلی ممنون از استاد و سایت مکتب خونه
دنیا علیپور 1402-08-20
کاش فایل پاورپوینتی که از روش درس دادن رومیذاشتن
مکتب‌خونه
همراه عزیز؛ در صورت وجود و ارائه فایل مورد نیاز توسط استاد، در دوره بارگذاری شده است در غیر این صورت فایلی ارائه نشده است.
1402-07-30
عالی بود
1402-06-08
درود بر شما استاد گرامی, این دوره بسیار عالی و جامع و کاربردی می باشد.
1402-04-11
واقعا بسیار عالی بود.ممنون از توضیحات خوب و روان شما استاد.
1402-04-03
بهترین دوره رایگانی که میتونید پیدا کنید. خیلی ساده و جامع و با بیان قوی تدریس شده. فقط کاش ترتیب آموزش به کتاب موریس مانو نزدیک تر بود. در کل عالی... خیر ببنی دکتر
1402-03-29
کامل ترین تدریس مدار منطقیه،فقط قسمت دیکودر وmux استاد زیاد عمیق نشدند. وگرنه بقیه مطالب جامع هستن. شیوه تدریس عالییییی ایشالا دوره معماری کامپیوتر هم استاد امینیان بزارن
سینا متجلی 1402-03-26
امیدوارم دکتر امینیان بدونن چه لطف وخدمت بزرگی در حق دانشجویان کردن و همچنین امیدوارم بدونن ما بی نهایت قدر دان ایشون هستیم. دوره بی نظیر بود.
1
2
3
... 5

دوره‌های پیشنهادی

سوالات پرتکرار

آیا ممکن است که درسی ناقص ضبط شده باشد؟
ما همواره تلاش کرده­‌ایم که دروس را به طور کامل ضبط نماییم و در اختیار شما دوستان قرار دهیم. اما گاهی برخی ناهماهنگی ها سبب می شود که یک یا تعدادی از جلسات یک درس ضبط نشود. توضیح این گونه نواقص در توضیح درس­ ها آمده است.

سوالات پرتکرار

اگر لینک دانلود یا پخش ویدئو مشکل داشت چه باید کرد؟
در صورتی که با هر گونه مشکلی رو به رو شدید می توانید از طریق صفحه ارتباط با ما به ما اطلاع دهید تا ما سریعا مشکل را پیگیری و برطرف نماییم.

سوالات پرتکرار

آیا امکان دریافت فیلم های یک درس به صورت سی دی یا دی وی دی وجود دارد؟
در حال حاضر امکان ارسال دروس به صورت سی دی یا دی وی دی وجود ندارد.

آموزش رایگان مدارهای منطقی

مدار منطقی مداری است که یک عملکرد پردازش یا کنترل را در رایانه اجرا می کند. این مدار عملیات منطقی را بر روی اطلاعات برای پردازش آن ها اجرا می نماید. مدارهای منطقی از دو مقدار برای یک کمیت فیزیکی معین (مثلاً ولتاژ) برای نشان دادن مقادیر بولی true و false یا 1 و 0 استفاده می کنند. در واقع مدارهای منطقی دارای ورودی هستند و همچنین خروجی هایی نیز دارند که می توانند به ورودی ها وابسته باشند. در نمودارهای مدار منطقی، اتصال از خروجی یک مدار به ورودی مدار دیگر به صورت نوک پیکان در انتهای ورودی نمایش داده می شود.

وقتی صحبت از عملکرد به میان می آید، مدارهای منطقی شبیه توابع زبان برنامه نویسی هستند. ورودی ها مشابه پارامترهای تابع هستند در حالی که خروجی ها مشابه مقادیر بازگشتی تابع هستند. یک مدار منطقی می تواند چندین خروجی را در خود جای دهد.

مدار منطقی چیست؟

مدارهای منطقی مدارهایی هستند که بخش اصلی آنها را ترانزیستورها در کنار سایر عناصر الکترونیکی تشکیل می‌دهند. اغلب مدارها یا تراشه‌های مدرن امروزی تعداد زیادی ترانزیستور دارند. این تعداد در تراشه‌های کامپیوتری به بیش از یک میلیارد می‌رسد. شناخت بخش‌های مختلف یک مدار کار ساده‌ای است اما ساخت مدار منطقی با بیش از یک میلیارد ترانزیستور کار ساده‌ای نیست و ساخت این مدارها تنها توسط دستگاه‌های پیشرفته ممکن است. برای ساخت مدارهای منطقی سخت افزارهای خاصی مورد نیاز است.

ساخت و تولید مدارهای منطقی

همانطور که گفته شد از مدارهای منطقی برای ساخت سخت افزارهای کامپیوتر و دیگر محصولات الکترونیکی استفاده می‌شود که به آنها سخت افزار دیجیتال گفته می‌شود. علت این نامگذاری نمایش اطلاعات در کامپیوترها به‌صورت عددی است که سیگنال‌های الکترونیکی را به عدد تبدیل می‌کنند.

فناوری ساخت این قطعات در طی سالیان گذشته متحول شده است. تا اواسط دهه 1960 مدارهای منطقی از مونتاژ قطعات و ترانزیستورها و مقاومت‌های مجزا ساخته می‌شدند. بعد از آن با اختراع مدارهای مجتمع(IC) امکان قرار دادن تعداد زیادی ترانزیستور روی یک تراشه نیمه رسانا ممکن شد. این مدارهای منطقی تنها چند ترانزیستور داشتند اما با پیشرفت تکنولوژی ساخت IC این مدارها نیز پیشرفته‌تر شدند.

بعد از قرار دادن تعداد زیادی تراشه روی یک قرص این تراشه‌ها به‌صورت آی سی‌های مجزا بسته بندی می‌شوند. تا اواسط دهه 1970 میلادی تولید این تراشه‌ها چنان پیشرفتی داشت که  قرار گرفتن تعداد زیادی مدار منطقی روی یک تراشه ممکن شد. البته قدرت پردازش این ریز پردازنده‌ها در مقایسه با پردازنده‌های امروزی بسیار کم و ضعیف بود. اما این پیشرفت‌ها راه را برای ساخت سایل الکترونیکی ارزان قیمت از جمله رایانه‌های شخصی ممکن ساختند.

در حدود 30 سال پیش گوردون مور رئیس هیئت مدیره شرکت اینتل این مسئله را مطرح کرد که تعداد ریز تراشه‌های کاشته شده روی مدارهای منطقی تقریباً هر دو سال دو برابر می‌شود. نظریه‌ای که به حقیقت پیوست و این روند همچنان ادامه دارد. این پدیده که به آن قانون مور گفته می‌شود همچنان وجود دارد. بنابراین ریز پردازنده‌های که در اوایل دهه 1990 در حدود چند صد میلیون ترانزیستور داشتند در اواخر همان دهه به بیش از چند صد میلیون ترانزیستور رسیدند. در حال حاضر فناوری ساخت مدارهای منطقی یا مدارهای مجتمع توانایی ساخت مدارهایی با بیش از چند میلیارد ترانزیستور را دارد.

البته به نظر می‌رسد که قانون مور نتواند تا سالیان سال دامه داشته باشد زیرا به هر حال یک ترانزیستور نمی‌تواند از یک اتم کوچک‌تر شود. برخی از شرکت‌های سازنده مدارهای مجتمع اقدام به پیش بینی آینده این فناوری کرده‌اند و حداکثر تعداد ترانزیستور هایی که می‌توان روی یک تراشه جای داد را تخمین زده‌اند.

نام این پیش بینی نقشه راه بین المللی فناوری نیمه هادی است. طبق این نقشه تعداد ترانزیستور ها روی مدارهای منطقی از سال 2006، 2430 میلیون بوده است و هم اکنون تعداد تراشه‌های امروزی به چند میلیارد میر سد. تا سال 2022  نیز تعداد تراشه‌های روی مدارها به بیش از 100 میلیارد ترانزیستور خواهد رسید. بی شک دستیابی به این توانایی می‌تواند تمام جنبه‌های زندگی را تحت تأثیر قرار دهد.

مدار منطقی و اصطلاحات مربوط به آن

مدار ترکیبی عملکرد ساده ای دارد. در آن خروجی بر اساس مقادیر فعلی ورودی است. مدارهای ترکیبی از نظر تئوری از گیت های منطقی پایه ساخته می شوند که عبارتند از گیت های AND، گیت های OR، گیت های XOR و اینورترها. خروجی گیت ها در مدارهای ترکیبی هرگز مستقیماً به ورودی های قبلی ارسال نمی شود.

یک گیت AND می تواند هر تعداد ورودی داشته باشد. خروجی آن زمانی درست است که همه ورودی های آن درست باشند. یک گیت AND اغلب برای کنترل یک سیگنال استفاده می شود؛ یعنی روشن یا خاموش کردن آن، بسته به مقدار سیگنال های کنترلی است.

یک گیت OR می تواند هر تعداد ورودی داشته باشد. خروجی آن زمانی درست است که هر یک از ورودی های آن درست باشد.

یک گیت XOR دو ورودی دارد. خروجی آن زمانی درست است که فقط ورودی های آن درست باشد. در غیر این صورت مقدار false برمی گرداند.

یک گیت XOR گاهی اوقات برای کنترل یک سیگنال استفاده می شود، یعنی بسته به مقدار سیگنال کنترل، یا معکوس می شود یا نه.

نکته: توجه داشته باشید که گیت های منطقی پایه را می توان برای تشکیل انواع واحدهای سطح بالاتر ترکیب کرد.

گیت های مسیریابی

  • مالتی پلکسرها:

آن ها چندین سیگنال ورودی داده و همچنین یک ورودی کنترل دارند. خروجی با یکی از ورودی ها یکسان است. مقدار سیگنال کنترل تعیین می کند که کدام ورودی باید در نظر گرفته شود.

  • دی مولتی پلکسرها:

این گیت ها دارای یک سیگنال ورودی داده، یک ورودی کنترلی و چندین سیگنال خروجی هستند. همه سیگنال های خروجی 0 (نادرست) هستند به جز سیگنالی که توسط ورودی کنترل انتخاب شده است. خروجی انتخاب شده با ورودی داده یکسان است.

گیت های محاسباتی

  • جمع کننده های کامل:

این نوع گیت ها یک ستون از یک جمع دودویی را انجام می دهد. جمع کننده های کامل بلوک اصلی برای جمع کننده های چند بیتی و تفریق هستند.

  • جمع کننده ها و تفریق کننده ها:

این ها برای جمع یا تفریق دو عدد باینری یا دو عدد مکمل کار می کنند. یک تفریق کننده فقط یک جمع کننده با مدار اضافی است که به آن اجازه می دهد عملیات دو مکمل را روی یکی از ورودی ها انجام دهد. آن ها معمولا طراحی شده برای انجام جمع یا تفریق، به عنوان یک سیگنال کنترل هستند.

  • مقایسه کننده ها:

این ها دو عدد باینری یا دو عدد مکمل را با هم مقایسه می کنند.

  • مدار حالت:

این نوع گیت مانند یک روش شی عمل می کند. خروجی تنها بر اساس ورودی نیست. همچنین مبتنی بر ورودی های گذشته است. این امر با حافظه تعبیه شده در مدار امکان پذیر می شود. مدار حالت شامل هر چیزی است که می تواند بیت های اطلاعات را به خاطر بیاورد، از جمله حافظه، ثبات ها و شمارنده های برنامه.

عنصر اصلی مدار حالت یک فلیپ فلاپ است. فلیپ فلاپ یک بیت داده را ذخیره می کند. چند فلیپ فلاپ را می توان با هم ترکیب کرد تا یک عنصر چند بیتی به نام ثبات را تشکیل دهد. چندین ثبات را می توان در یک بانک ثبت ترکیب کرد. این دو نوع مدار منطقی دست به دست هم داده و یک مسیر داده پردازنده را تشکیل می دهند.

مسیر داده پردازنده

مسیر داده یک پردازنده از نظر مفهومی به دو بخش سازماندهی شده است:

  • منطق ترکیبی وضعیت پردازنده را برای چرخه ساعت بعدی تعیین می کند و ALU یک منطق ترکیبی است.
  • عناصر حالت اطلاعاتی در مورد وضعیت پردازنده در طول چرخه ساعت جاری نگه می دارند. همه رجیسترها عناصر حالت هستند.

آموزش استفاده از مدارهای منطقی

در هنگام طراحی یک سخت افزار دیجیتال که از مدارهای منطقی تشکیل شده است این سؤال پیش می‌آید که مدارهای منطقی آن به‌صورت واحد طراحی شوند یا این مدارها با به‌کارگیری تعدادی IC کوچکتر روی بورد مدار چاپی قرار داده شوند. برای طراحی و ساخت سیستم‌های دیجیتال ابتدا باید قطعات مختلف را روی یک بورد مونتاژ کنیم و اتصالات مربوطه را انجام دهیم. این اتصالات قطعات مختلف را به یکدیگر مرتبط می‌کنند. این بوردها که کاربرد زیادی نیز دارند بورد مدار چاپی نام دارند. قطعاتی که روی بردها قرار می‌گیرند توسط ترک‌ها به هم متصل می‌شوند. این قطعات معمولاً گیت‌های منطقی تکی نیستند و پیچیده و بزرگ هستند.

مدار منطقی بیشتر اوقات به‌صورت تراشه‌های آماده در بازار قابل خریداری هستند که این موضوع باعث می‌شود طراحی و ساخت محصول آسان‌تر شود. در حال حاضر تنوع زیادی از مدارهای منطقی با کاربردهای وسیع و پیچیده به‌صورت آماده در دسترس است که می‌تواند در ساخت سخت افزارهای دیجیتال مورد استفاده قرار گیرند. به‌عنوان مثال انواع تراشه‌های محاسباتی، تراشه‌های حافظه یا تراشه‌های واسط که ارتباط دستگاه وروردی و خروجی را آسان می‌کنند، از فروشگاه‌های قطعات الکترونیکی قابل خریداری هستند. 

البته برای ساخت برخی از محصولات سخت افزاری دیجیتال طراحی و ساخت مدار منطقی اختصاصی لازم و ضرروی است. برای پیاده سازی این مدارها از سه نوع تراشه می‌توان استفاده کرد که شامل تراشه‌های استاندارد، دستگاه‌های منطق قابل برنامه ریزی و تراشه‌های سفارشی هستند. آشنایی بیشتر با مدارهای منطقی، روش طراحی و پیاده سازی آنها و دیگر کاربردهای آن در مبحث آموزش مدارهای منطقی در دسترس است.

آموزش رایگان مدارهای منطقی با مکتب خونه

اگر می خواهید مدارهای منطقی را به خوبی یاد بگیرید، پیشنهاد می کنیم که در دوره آنلاین آموزش رایگان مدارهای منطقی مکتب خونه، شرکت کنید. این دوره بسیار جامع و کاربردی بوده و شما را برای طراحی انواع مدارها آماده می کند.

 

صفحات پربازدید
poster
پیش‌نمایش دوره
  
برگزار کننده:  دانشگاه گیلان
  
زمان مورد نیاز برای گذراندن دوره:  25 جلسه
مجموع محتوای آموزشی:  22 ساعت ویدئو
 (قابل دانلود می‌باشد)